Симуляція на функціональному рівні

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
ІКТА
Факультет:
Не вказано
Кафедра:
Кафедра ЕОМ

Інформація про роботу

Рік:
2005
Тип роботи:
Лабораторна робота
Предмет:
Проектуванння периферійних комп’ютерних мікросистем
Група:
СКС

Частина тексту файла

Міністерство освіти і науки України НУ „Львівська політехніка” ІКТА Кафедра ЕОМ Лабораторна робота №1 з курсу „Проектуванння периферійних комп’ютерних мікросистем” на тему: „Симуляція на функціональному рівні” Львів-2005 Мета роботи: Створення і перевірка функціональної VHDL-моделі кристалу. Проектування кристалу починається з його функціонального опису на мові VHDL. Отже, за допомогою текстового редактора створюється файл, в якому описується VHDL-модель майбутнього кристалу. Часові затримки не вказуються - це, так звана, функціональна часово-незалежна VHDL-модель. На цьому етапі також створюється файл з тестовими наборами для перевірки правильності роботи описаної моделі. Цей файл можна створити за допомогою текстового редактора, або за допомогою спеціальної програми-генератора GENPAT. Далі за допомогою VHDL-симулятора ASIMUT перевіряється робота тестових наборів на функціональній VHDL-моделі проектованого кристалу. При наявності помилок слід перевірити правильність моделі та тестових наборів. Виконання роботи. Розглядається схема 8-розрядного перемножувача 555ИП9 (рис.1), який приймає один операнд в паралельному вигляді (Х), а другий – в послідовному (по входу У), причому спочатку подається молодший байт. Результат з’являється на виході S в послідовному вигляді (спочатку з’являється молодший біт). В результаті множення n-розрядного на m-розрядне число отримуємо n+m – розрядне число, тобто необхідно n+m тактових імпульсів. Входи паралельного операнду контролюються сигналом Cl. Якщо цей сигнал рівний логічному „0”, то всі внутрішні тригери скидаються і можна записувати нове слово, якщо ж Cl рівний логічній „1” – входи Хі закриті. Вхід К призначений для каскадування, при якому на нього подається вихід суми більш старшого пристрою. Для визначення найстаршого пристрою на його вхід М подається „L”, а на інші – „Н”.  рис. 1. принципова схема перемножувача 555ИП9 В таблиці наведені режими роботи перемножувача: Входы Внутр Y-1 Выход S Функция   Cl  Cк  K  M  Xi  Y      -  -  L  L  -  -   -   - Найстарший множник   -  -  CS  H  -  -   -   - Пристрої послідовно об’єднані   L  -  -  -  OP  -   L   L Завантажити новий операнд і почистити внутр.суму і перенос   H _/~  -  -  -  L   L  LB Зсув регістра суми вправо   H _/~  -  -  -  L   H  LB Добавити операнд до регістра суми у зсунути результат вправо   H _/~  -  -  -  H   L  LB Відняти операнд від регістра суми і зсунути результат вправо   H _/~  -  -  -  H   H  LB Зсув регістра суми вправо   CS – приєднання з виходом суми старшого пристрою ОР – входи відкриті для нових даних LB – молодший розряд результату  рис.2. функціональна схема перемножувача За допомогою текстового редактора створила файл multiplier.vbe, в якому задала функціональний VHDL-опис наведеної схеми перемножувача: ENTITY multiplier IS PORT( X : IN BIT_VECTOR(7 DOWNTO 0); Y : IN BIT; CL : IN BIT; CK : IN BIT; M : IN BIT; K : IN BIT; vdd : IN BIT; vss : IN BIT; S : OUT BIT ); END multiplier; --}} End of automatically maintained section ARCHITECTURE multiplier OF multiplier IS SIGNAL sum: BIT_VECTOR(7 DOWNTO 0); SIGNAL carry_a:BIT_VECTOR(6 DOWNTO 0); SIGNAL carry_d:BIT_VECTOR(6 DOWNTO 0); SIGNAL prev_Y: BIT; SIGNAL res: BIT_VECTOR(7 DOWNTO 0); SIGNAL sh_res: BIT_VECTOR(7 DOWNTO 0); SIGNAL op_res: BIT_VECTOR(7 DOWNTO 0); SIGNAL add: BIT_VECTOR(7 DOWNTO 0); SIGNAL red: BIT_VECTOR(7 DOWNTO 0); BEGIN -- L0:BLOCK(Ck='1' AND Ck'EVENT) -- BEGIN ASSERT (vdd='1'AND vss='0') REPORT "Wrong power supplies" SEVERITY WARNING; --мультиплексор1 WITH (Y XOR prev_Y) SELECT res<=sum WHEN '0',op_res WHEN '1'; --мультиплексор2 WITH Y SELECT op_res<=add WHEN '0',red WHEN '1'; --зсув L1: BLOCK (Cl='...
Антиботан аватар за замовчуванням

31.03.2013 14:03

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини